自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(25)
  • 资源 (9)
  • 收藏
  • 关注

转载 各种ADC的比较

A/D转换技术 现在的软件无线电、数字图像采集都需要有高速的A/D采样保证有效性和精度,一般的测控系统也希望在精度上有所突破,人类数字化的浪潮推动了A/D转换器不断变革,而A/D转换器是人类实现数字化的先锋。A/D转换器发展了30多年,经历了多次的技术革新,从并行、逐次逼近型、积分型ADC,到近年来新发展起来的∑-Δ型和流水线型ADC,它们各有其优缺点,能满足不同的应用场合的使用。逐次逼近型、

2014-07-03 03:49:13 3248

转载 微信时代如何才能够利用好时间?

秦刚 / 文  每天我们都会有这样的经历:本来计划要坐在电脑前写一篇文章,结果却发现自己一会儿看微信,一会儿聊QQ,或者是不断浏览各类网站,几个小时过去了,什么都没有开始做。社 交工具微信,手机QQ等让我们的时间完全碎片化了,有个研究说大概6分钟不到大家就要刷一次微信,这样的碎片化时间很难专注做一个事情。当大部分人都不能 集中15分钟以上的注意力的时候,如果我们能够做到把大块的

2014-05-16 10:37:58 505

转载 终于解决了MDK 3.80a中不能使用printf()函数的问题

刚开始学stm32,顺着gpio、uart。。。的顺序慢慢爬初始化的方法学习了马老师的STM32_Init.h大法,自己英文还可以,加上avr的基础还不错,所以gpio和时钟配置都很顺利碰到uart就头大了,看到各种例程里都是printf()函数,自己也想用,毕竟是avr想用却开销不了的东西。但是我自己写的程序里一旦出现printf,单片机的不干活了。查论坛首先发现要重定义fputc函数,

2013-10-15 14:55:18 1875

转载 十大基本功之testbench

1. 激励的产生对于testbench而言,端口应当和被测试的module一一对应。端口分为input,output和inout类型产生激励信号的时候,input对应的端口应当申明为reg, output对应的端口申明为wire,inout端口比较特殊,下面专门讲解。1)直接赋值。一般用initial块给信号赋初值,initial块执行一次,always或者forever表

2013-09-28 11:25:43 2016

转载 Modelsim 创建 VCD

Verilog提供一系列系统任务用于记录信号值变化保存到标准的VCD(Value Change Dump)格式数据库中。大多数波形显示工具支持VCD格式。$dumpfile("file. dump"); 打开一个VCD数据库用于记录$dumpvars(); 选择要记录的信号$dumpflush; 将VCD数据保存到磁盘 $dumpoff; 停止记录$dumpon; 重新开始

2013-09-25 16:16:40 783

转载 转载:开发工程师人生之路(强烈推荐,分析的透彻!)

相对同时刚出校门同学从事其它行业而言优厚的薪水,以及不断学习更新的专业知识不仅仅让你感到生活的充实,更满足了你那不让外人知的虚荣心。在刚出校门的几年中,你经常回头看看被你落在后面的同学们,在内心怜悯他们的同时,你也会对自已天天加班的努力工作感到心里平衡:“有付出才会有回报”这句话在那几年中你说的最多,不管是对自已的朋友们还是自已的爱人。第二句最常说的话是对公司的领导:“不行我就走人!”,实际上你也

2013-09-06 16:49:12 530

转载 关于C,看过的一些书 (转自电子工程专辑catch2000)

忘了名字的书,《C语言程序设计》(老谭版)《高质量程序设计》《C陷阱与缺陷》《C专家编程》《程序员成长计划》《UNIX环境高级编程》《深入理解计算机系统》《代码大全》《编程精粹》《重构》(在读) 按照自己的阅读顺序罗列了以上书籍,基本都全部看完的(重构是正在看,已经看了三分之一)。 

2013-09-06 16:03:08 501

转载 创业与追女生其实是一样一样的

追女生和创业有关系吗?有人说扯淡,一分钱的关系都没有。有人说其实关系大了去了,一般会创业的就会追女生,会追女生就会创业,二者在能力和手法上一致的。  我们先看看结果。创业的结果是什么?创业最终的目的是要实现 IPO,这是所有创业者的终极梦想之一,再往大的方向来想,可能要做一个企业家,但是企业家终究只有少数人能做得了。  那么追女生的结果是什么呢?最理想的成功模式就是把她变成自己的女

2013-08-02 09:40:41 987

转载 像斧头帮一样创业 四点创业必须知识

我有一个很棒的想法,但不知道怎么去找合适的合伙人,怎么办?  这两个问题看似不相干,但其实是一个问题:和谁在一起。都说创业者是孤独的,但孤独不应该等同于孤立。李白说“古来圣贤皆寂寞,唯有饮者留其名”,但我看今时今日,且不说有无圣贤,即便有,也必是左依右傍,以一人之力成大事者,恐怕只能出现在玄幻小说之中了。  今天是周六,我参加完一个聚会刚刚到家,洗了把脸,便开始写这篇文章。周末对我而言,与

2013-08-01 09:31:23 425

转载 关于汽车隔音和音响改装,难听,但确是实话

关于汽车隔音和音响改装,难听,但确是实话。    先说说本人的简史。大学时期主修舞台音响设计(成绩很差),辅修古典吉他(专业八级),硕士期间主修声学……蛮冷门的专业。现在的主业是人民教师,兼职调音师、吉他手、兽医(老妈家的祖传手艺,有执照)和卖汽车(农用车和皮卡,老爹的产业)……    酷爱音乐,常年混迹于各大音响和吉他论坛……AV和HIFI都烧,在群众眼里,说自己是骨灰级的老烧,也不

2013-07-31 13:51:07 1994

转载 世界顶级音响品牌排名

世界顶级音响品牌排名 (1)FM ACOUSTICS(瑞士)它的广告历来都是“顶级中的顶级,音响中的劳斯来斯”FM ACOUSTICS公司的产品最大特点就是“贵”,而且贵的有些让人无法接受。不过自从这家公司进军HIFI器材市场二十年来用户却只增不减,许多人以拥有它为荣为傲。看来并不是所有用户都认为它是贵的没有道理。单单是FM115单声道后级就售价800多万日元,折合人民币将近70万元,

2013-07-31 13:40:03 2394

转载 __extension__ typedef int __ssize_t;是什么意思

这是.c文件经过gcc -E 预处理得到的.i文件中的内容,其中__extension__是什么作用gcc对标准C语言进行了扩展,但用到这些扩展功能时,编译器会提出警告,使用__extension__关键字会告诉gcc不要提出警告。gcc选项-ansi指示编译器编译符合标准的程序,但是不限制其它方式,只要它与标准不冲突。这样,asm、typeof、inline都将无效,但是__asm_

2013-07-08 14:35:39 2581

转载 呕心沥血2个月,终于完成了我的高品质HIFI WAV播放器!终于不必为没有高质量音源而苦恼了:)

真是好事多磨,PCB厂家竟然让我等了3周才晃晃悠悠地交出板子,而且还是转手给其他厂家代工的,不就是量少点么,真是气坏了!要说DIYER多么不容易啊,处处受人欺负!(我要是超人就好了,哈哈)    生气归生气,周五回到家还是亟不可待地开工,花了整整一个周末多的时间筛选零件、焊板子、上电、调试,终于在上个周日完成了播放器制作。测电位、波形等都很好,功能验证也没问题...于是开声了,o(∩_∩)o.

2013-06-05 17:06:54 7132 2

转载 我的HIFI WAV 播放器设计之四PCB设计部分

五、PCB线路板设计心得(PCB的丝印和顶层、底层线路后附)       PCB已经是VER1.2,这是第三个版本了,PCB的面积调整到15CM*20CM,还是有点大。曾经也考虑过是否改成双运放,不过想想还是单运放更发烧,干脆一不做二不休,呵呵。最后主要还是在扩展性、布局上进行了调整,尤其是在模拟电路部分做了很大的优化,花了很大的时间和心血。我设计的高保真WAV播放器PCB

2013-06-05 16:58:31 1991

转载 我的HIFI WAV 播放器设计之三电路图DAC及模拟信号处理电路部分

DAC及LPF模拟输出部分电路SCH图纸二,DAC采用了Analog Device的AD1853DAC芯片,图纸由AD1853核心电路、供电部分、I/V变换部分、平衡LPF部分、平衡/非平衡转换电路部分,模拟信号处理部分电路采用了新的架构。高保真WAV播放器的电路图之二DAC及模拟信号处理电路部分1、AD1853是一颗素质非常高的音频DAC,它的左右声道输出是平衡式电流输出

2013-06-05 16:58:05 12076

转载 我的HIFI WAV 播放器设计之二电路图数字电路部分

四、电路图设计细节说明 电路原理图之一微处理器数字电路部分数字处理部分SCH图纸一,数字部分分成以下几个部分:微处理器部分、时钟电路、SD卡电路、液晶显示屏电路、红外接收及按钮控制部分、供电部分、与DAC定义的接口。1、  处理器采用ATMEL的32位ARM7处理器AT91SAM7S64,具有32KB FLASH以及16KB SRAM,具备DMA通道和I2S等所需的

2013-06-05 16:57:18 3455

转载 我的HIFI WAV播放器设计之一

一、前言:       算起来从高一对音响发生浓厚的兴趣开始,漫长的DIY生涯至今已经将近20年的时间了,从小到DAC解码器、PASS P1.7前级到大吨位的单端甲类PASS A5等等,走过了一山又一山,淌过了一水又一水,有道是“为伊消得人憔悴,衣带渐宽终不悔”。不过长久以来听音乐一直没有一个理想的音源,现在大部分时间都是听从网上下载的音乐,直接通过声卡的SPDIF输出到外置的DAC来充当

2013-06-05 16:56:25 2434

转载 如何让说话的声音悦耳动听

看了这么多,实际上说话做事,要心平气和,不能急。气顺了再开口。谨记。对自己说。[NGB02]附:介绍几种符合同学们特点,简单、易行、见效的口才训练方法。(1)速读法这里的“读”指的是朗读,是用嘴去读,而不是用眼去看,顾名思义,“速读”也就是快速的朗读。这种训练方法的目的,是在于锻炼人口齿伶俐,语音准确,吐字清晰。方法:找来一篇演讲辞或一篇文辞优美的散

2013-06-05 07:32:09 1615

转载 软件实现的施密特触发器

在一些智能充电器中,单片机要一直监测电池的电压,一旦超过某一数值,就由恒流充电切换到恒压充电。撇开充电器制作相关的各种知识和概念不说我们集中注意力来考察:当电压接近那个设定的临界值时发生的一些事情。         当电压接近临界值的时候,系统还处于恒流充电状态,于是电压开始朝突破临界值的方向上升……在某一时刻,电压突破了临界值,程序检测到了这一数值变化,立即将充电状态由恒流切换为

2013-05-29 15:24:22 1816

转载 软件滤波

模拟信号都必须经过A/D转换才能被嵌入式控制器接收。但在干扰作用于模拟信号之后,其A/D转换结果往往会偏离真实值。因此,仅采样一次是无法确定该结果是否可信的,而必须多次采样,才能得到一个A/D转换的数据系列,然后通过某种处理,才能得到一个可信度较高的结果。这种从数据系列中提取逼近真值的软件算法,通常称为数字滤波算法。相对于硬件滤波,数字滤波的优越性在于其无需硬件且可靠性高,尤其对频率很高或很低的信

2013-05-29 14:46:10 1057

转载 给准研究生和在读研究生的一封信

2012年考研国家分数线已经全新出炉,相信很多准研究生们也对未来的研究生生活充满了无限期待和憧憬,下面就让我们伴随着这篇文章,呷一口清茶,仔细阅读吧。。。当你上课感觉就像打酱油时,当你对研究生很迷茫时,当你坐在电脑前孜孜不倦时,请看下面的文章,很受用,至少我心里现在没有以前浮躁。好的文章有时能改变一个人的精神状态,下面就是其中之一。上海大学一位老师说:“不可否认的是,研究生面临着比较大的生

2013-05-21 13:14:29 503

翻译 ByVal

ByVal在Visual Basic中,按值传递方式通过关键字 ByVal (By Value)来实现。也就是说,在定义通用过程时,如果形参前面有关键字ByVal,则该参数用传值方式传送,否则即以引用(即按地址)方式传递。例如:dim s = 1Private Sub Form_Load()Debug.print increment(s)Debug.print

2013-05-08 09:02:46 943

转载 VB之Collection---Collection集合类

Visual Basic 集合对象()集合是方法将一系列相关的项构成组的一种方法。Visual Basic 中集合可用于跟踪很多事情,例如程序中加载的窗体(窗体集合),或者在窗体中的所有控件(控件集合)。Visual Basic 提供的类属 Collection 类可用来定义自己的集合。需要多少集合对象,就可以建立多少 Collection 对象- 即 Collection 类的实例。还可

2013-05-02 14:33:07 2205

转载 抛砖引玉----基于51串口通讯编程软件架构剖析

前言:串口通讯对于所有的嵌入式工程师十分常见,对于一个与外界交互的系统必须依赖一些手段,比如串口、USB、红外、GPRS之类的数据通讯传输方式。而串口作为一种廉价的短距离可靠的通讯方式得到了广泛应用。废话少说了,就此打住,进入正题。本文主要从软件结构上讲解如何在资源比较缺乏的系统上实现通讯协议的串口通讯编程,以及如何优化程序效率,从而使系统更快、更稳定运行。正文:

2013-04-27 10:37:31 662

转载 VB中如何将两个单字节合成一个双字节,例如我输入两个byte类型变量

Private Sub Form_Load()Dim DisNumber as Integer Dim a As ByteDim b As Bytea=&H80b=&H0DisNumber = (a * 256 + b) '将两个单字节合成一个双字节,就像C语言里把两个char类型合成一个int型TxtDisplay.Text = Format(DisNumber, "##0")

2013-04-25 17:07:52 3531

pwm_board_PIC12F683

新版PWM小板 硬件配置: MCU: PIC12F683, OSC: 使用片内8M振荡器并选择125KHz工作频率. 版本: v1.0

2013-05-06

Cooking Assistant for Automatic Temperature Control

Cooking Assistant for Automatic Temperature Control

2013-05-06

雪铁龙C5一体机固件程序

专门书写制作的影音播放程序,对6775版CD 主机的解码、音色还原度和播放效果具有非常直观的改观。 与原程序效果有着非常明显的区别,特别是对单独更换过喇叭的车友。 特别声明: 更新升级前请做好你的TF 卡的备份工作。任何更新都有风险,本程序属于车友间无偿交流的DIY 作品,虽然 已在多台尊贵版C5 主机上面测试运行,但是不能保证就一定适合你的主机,你的下载和更新都属于你的个人自愿行为,导致的 一切后果都由你自己承担,包括保修的伤失等。本人不承担任何责任,所以更新前请仔细斟酌。

2013-04-25

三星Galaxy Note N7000拆解

N7000 Note 手机详细拆解报告 含照片

2013-04-10

C0 32bit core datasheet

C*Core C0 32bit处理器datasheet 类似于Cortex-M0

2013-04-10

AN_SPMC75_0012 PID源码

AN_SPMC75_0012 PID Control code

2012-10-24

PIC16F1937DEMO Code

PIC16F1937 demo code Include : ADC WDT EEPROM I2C LED MCLRKEY mTouch PWM SLEEP TMR0 TMR1 TMR2 UART

2012-10-24

开关电路驱动

开关电路驱动 驱动信号分类 电平信号:依靠端口的高低电平向驱动器件提供信号,驱动器件根据该信号驱动被驱动器件。此时的驱动器件往往是分立元件(三极管、MOS管、ULN2803等)。 协议信号:依靠特定的协议向驱动器件提供信号,驱动器件根据该信号驱动被驱动器件。此时的驱动器件往往是专用集成电路驱动器,如:ZLG7290是用来驱动数码管的,使用IIC协议与MCU进行通信。

2012-08-20

三星note手机评测

关于三星note手机评测 主要有屏幕性能和实际运行的效果方面内容

2012-06-04

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除