- 博客(0)
- 资源 (6)
空空如也
电子时钟VHDL程序与仿真
源程序 VHDL 电子时钟
1. 10进制计数器设计与仿真
(1)10进制计数器VHDL程序
--文件名:counter10.vhd。
--功能:10进制计数器,有进位C
--最后修改日期:2004.3.20
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity counter10 is
Port ( clk : in std_logic;
reset : in std_logic;
din : in std_logic_vector(3 downto 0);
dout : out std_logic_vector(3 downto 0);
c:out std_logic);
end counter10;
architecture Behavioral of counter10 is
signal count : std_logic_vector(3 downto 0);
begin
dout <= count;
process(clk,reset,din)
begin
if reset='0'then
count <= din ;
2010-05-24
2004-2008历年网络工程师试卷
2004-2008历年网络工程师试卷 三个月零基础通过网工经验.pdf 视频资料.pdf 为了答谢yplive哥们的奉献,我也贡献点资源,使得同志们下载时快点,希望对大家有所帮助!
2010-02-27
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人