• 博客(0)
  • 资源 (6)

空空如也

基于FPGA的电子时钟设计

通信 计算机 毕设论文 FPGA 毕业论文 电子时钟 VHDL 基于FPGA的电子时钟设计

2010-06-13

通信 计算机 毕设论文 外文翻译

通信 计算机 毕设论文 外文翻译 FPGA 通信 计算机 毕设论文 外文翻译 FPGA

2010-06-13

计算机毕业论文 外文翻译

jsp 外文翻译 毕业设计 论文 计算机毕业论文 外文翻译 计算机毕业论文 外文翻译 jsp 外文翻译 毕业设计 论文

2010-06-13

电子时钟 框图 VHDL FPGA

电子时钟 VHDL FPGA 电子时钟系统结构框图 电子时钟框图

2010-05-24

电子时钟VHDL程序与仿真

源程序 VHDL 电子时钟 1. 10进制计数器设计与仿真 (1)10进制计数器VHDL程序 --文件名:counter10.vhd。 --功能:10进制计数器,有进位C --最后修改日期:2004.3.20 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter10 is Port ( clk : in std_logic; reset : in std_logic; din : in std_logic_vector(3 downto 0); dout : out std_logic_vector(3 downto 0); c:out std_logic); end counter10; architecture Behavioral of counter10 is signal count : std_logic_vector(3 downto 0); begin dout <= count; process(clk,reset,din) begin if reset='0'then count <= din ;

2010-05-24

2004-2008历年网络工程师试卷

2004-2008历年网络工程师试卷 三个月零基础通过网工经验.pdf 视频资料.pdf 为了答谢yplive哥们的奉献,我也贡献点资源,使得同志们下载时快点,希望对大家有所帮助!

2010-02-27

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除