自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(24)
  • 收藏
  • 关注

原创 如何使用GVIM verilog automatic插件实现自动例化

1. 使用ctags_gen生成tags文件。3. 点击 verilog--->AutoInst。

2024-02-08 01:07:15 719

原创 gvim杂碎记录

1. 鼠标点到需要搜的那个字符上,按shift+8,就会自动搜索。:/xxx 向下搜索xxx。xxx 向上搜索xxx。3. 按n:向下搜索。

2024-02-06 13:25:32 374

原创 Boost开关电源的建模(一)

然后,我们就发现如果是直流量的话,这个模型就是一个理想的变压器模型。从上式中可以看到,V1是受控于V2,i2是受控于i1的。当Q1截止时,电容C处于充电状态,其一个周期内积分和为0。通过比对上面这个式子,我们可以明显发现,加入扰动后,V1增加了扰动项dV2;因此,在直流模型中,电感为短路状态,电容为开路状态。注意,电感在Q1打开前后,两端电压会换向,其积分和为0。我们将这个理想变压器模型代入到最初的Boost模型中去。当Q1导通时,i2为0,V1为0;当Q1关断时,i2=i1,V1=V2。

2023-11-21 23:20:31 207

原创 使用m文件调用simulink

使用m文件调用simulink

2022-11-23 20:26:57 2724

原创 VCS和Verdi联合仿真Makefile脚本示例

VCS和Verdi联合仿真Makefile脚本示例

2022-07-22 11:59:59 884

原创 linux下用find寻找verilog文件方法

linux下用find寻找verilog文件方法

2022-07-22 11:56:57 545 1

转载 【转载】Verdi -- 调出标记线并修改标记线之间的长度为周期值

Verdi -- 调出标记线并修改标记线之间的长度为周期值

2022-07-22 11:38:36 1761

原创 DC综合时read_verilog报错

DC综合时read_verilog报错

2022-05-22 21:35:23 888 2

原创 vitis自定义IP编译报错解决方法

vitis自定义IP编译报错解决方法

2022-05-08 11:01:47 4557

转载 [转载]通过lef生成Milkyway库的方法,已成功

[转载]通过lef生成Milkyway库的方法,已成功!

2022-04-26 13:44:52 3616

原创 simics安装solaris9,成功运行memory compiler

simics安装solaris9,成功运行memory compiler

2022-04-23 11:23:41 2235 2

转载 【转载】门控时钟的一些基本概念

门控时钟的一些基本概念

2022-04-23 11:07:47 7624

原创 vivado, dc, synplify导入文件夹内所有RTL的tcl脚本

synplify导入文件夹内所有verilog的tcl脚本

2022-04-20 22:22:26 1159

原创 ADS——HDMI retimer仿真(AIM仿真实例)

ADS——HDMI retimer仿真(AIM仿真实例)

2022-04-17 14:14:06 2176 1

转载 [转载]微电子新手入门之Cadence常用操作——Cadence将版图导入到ADS中

[转载]微电子新手入门之Cadence常用操作——Cadence将版图导入到ADS中

2022-04-16 21:42:11 3481

原创 synplify成功运行起designware的方法,巨坑

synplify成功运行起designware的方法,巨坑!!

2022-04-14 00:24:14 2392 2

原创 modelsim编译designware库

modelsim编译designware库

2022-04-13 15:40:49 922

转载 【转载】梳理一下芯片后端要用到的各个文件

【转载】梳理一下芯片后端要用到的各个文件

2022-04-12 14:24:59 974

转载 【转载】DC的逻辑综合与优化

【转载】DC的逻辑综合与优化

2022-04-11 12:11:50 3754

转载 DC综合——lib库转db库

DC综合——lib库转db库

2022-04-11 11:43:38 2217

转载 [转载]生成milkway的方法

[转载]生成milkway的方法!!

2022-04-10 10:55:43 2522 1

原创 read__verilog时,怎么读出文件夹内所有rtl文件

read__verilog时,怎么读出文件夹内所有rtl文件

2022-04-10 09:47:58 1108 1

原创 check_library报错

解决Error: The check_library command failed to run. Check the installation of Library Compiler. (LCSH-3)问题

2022-04-10 09:35:37 2434 3

原创 vivado:debug状态下无法抓取数据。(已解决)

这两天搞一个小项目,里面用到了SPI,时钟频率很低,我就设置了10MHz。结果,我在VC707的板子上跑。崩溃呀,跑都跑通了,但是用debug,怎么也抓取不到波形。一度怀疑是vivado 2017.4又存在重大bug。然后,仔细看了看他的报错内容。说我的设计与器件不匹配dismatch。。。然后建议我三点。留意到第三点的时候,我发现有问题了。第三点是说:建议我把ila模块时钟调整到超过JTAG...

2018-08-05 09:02:36 5789

GVIM verilog插件,automatic改进版!

在著名的GVIM verilog插件automatic基础上,经过大神修改。非常好用!! description: Support Automatic functions like Emacs for verilog hdl RtlTree work like as Verdi Feature list: 1) Auto Argument (the same as Emacs) -- shortcut key<Shift+F1> 2) Auto Define Signals -- shortcut key<Shift+F2> 3) Auto Instance (power than Emacs) -- shortcut key<Shift+F3> 4) Auto unit delay "<=" to "<= #1" 5) Auto Template --

2024-02-08

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除