自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(88)
  • 资源 (1)
  • 收藏
  • 关注

原创 PaddlePaddle百度7日培训心得

PaddlePaddle百度7日培训心得PaddlePaddle平台真的很给力,很用心,很认真,很nice,我很感恩。我得过很多的小礼品,《深度学习理论与应用实践》、飞桨的帽子、飞桨定制本(有点薄)、飞桨的笔、飞桨的数据线,这次参加7日打卡营是奔着京东的卡(想买书)和机械键盘去的,结果发现这么多满分500分的。。我在简单的课上都丢分了,一个是python打印没打印中括号,另一个是画饼图,我...

2020-05-01 16:41:59 372

原创 python运算优先级bug记录

elif (rbyte_mode == 1) & (rbyte_start_addr & 0xf) + rbyte_byte_max)>= 32:之前是这么写的,rbyte_mode = 1,rbyte_start_addr = 0xc,rbyte_byte_max =2063这个逻辑表达式我以为应该是满足的,12 + 2063肯定大于32啊,可是真实...

2020-04-13 17:08:02 215

原创 vivado里压缩bitstream文件

Vivado:Vivado uses the following bitstream property to enable compression:set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]

2018-10-12 16:00:27 2622

原创 在fsbl里读写MIO

u32 FsblHookBeforeHandoff(void){ u32 Status; Status = XST_SUCCESS; /* * User logic to be added here. * Errors to be stored in the status variable and retur...

2018-07-06 10:45:22 547

原创 个人相册

<div id="custom_column_41051715" class="panel"><ul class="panel_head"><span>支付宝&微信支付二维码</span></ul><ul class="panel_body"&g

2018-06-19 10:51:29 477

原创 tcpdump debug ftp return 550

sudo tcpdump tcp -i eth1 -t -s 0 -c 200 and src net 10.169.1.45 -w target2.capsudo tcpdump tcp -i eth1 -t -s 0 -c 800 and host 10.169.1.45   -w target4.cap-t 不带时间戳-s 0 可以抓完整的数据包,默认抓取长度68字节-c 抓取多少个数据包s...

2018-05-17 11:29:21 244

原创 JTAG扫不到Zynq FPGA的原因排查

1JTAG 6根 线序,JTAG上排阻 是否焊上;2 FPGA 各种电源,时钟有没有,非JTAG模式程序是否加密;关键性信号 MIO5,4,3PUDC,CFGBVS等信号检查3 FPGA上电时序,几个复位信号POR,SRST的状态对不对,时序关系对不对,手册要求:PS_POR_B会不会给的太早了...

2018-04-29 14:15:10 10468

原创 linux开发板挂载ubuntu共享文件夹

ubuntu14.041安装nfs serversudo apt-get install nfs-kernel-server2建立共享文件夹mkdir -p /home/zhuyu/share3 配置nfs Serversudo vi /etc/exports/home/zhuyu/share *(rw,sync,no_root_squash,no_subtree_check)*:允许所有的网段访...

2018-03-08 18:22:34 932

原创 efuse_key

1生成一个generate_aeskey.bif文件 格式类似于//arch = zynq; split = false; format = BIN; zynq_key_store = bbram; key_part_name = xc7z020clg-400the_ROM_image:{[aeskeyfile]top2.nky[bootloader, encryption

2018-01-02 19:26:46 2209

原创 win10 开启telnet服务

以管理员身份运行命令行:dism /online /Enable-Feature /FeatureName:TelnetClientDeployment Image Servicing and Management (DISM.exe) is a command-line tool that can be used to service a Windows® image o

2017-12-20 10:35:01 8445 1

原创 python3 socket client

from socket import *HOST = '192.168.77.77'PORT= 6000BUFSIZE = 8192ADDR =(HOST,PORT)client = socket(AF_INET, SOCK_STREAM) client.connect(ADDR)#while True:for i in range(1):    

2017-11-16 16:16:31 661

原创 adv7611 rgb 输入 16 YUV422输出的寄存器配置

25fps#define FMC_HDMI_IN_LEN0x98  20 //IO map, fixed at 0x98ZC702_I2C_CONFIG fmc_hdmi_in2_config0x98[FMC_HDMI_IN_LEN0x98] ={{0xF4,0x00,0x80}, //CEC map{0xF5,0x00,0x7C}, //InfoFrame map{0xF

2017-10-23 14:27:13 3146

原创 xilinx accumulator j加减法符号代表

ADD Input Controls operation performed by Adder/Subtractor-based accumulator (High= Addition, Low = Subtraction)ADD端口 高电平1 代表 加法, 低电平0代表 减法。不要搞错了。

2017-09-22 12:00:59 898

原创 excel插入图片自动适应表格大小

1 ALT + F112菜单 插入-----模块3键入Sub PictureFitUnit()    Dim sh As Shape    For Each sh In ActiveSheet.Shapes        sh.LockAspectRatio = False        sh.Left = sh.TopLeftCell.Left   

2017-07-27 13:28:38 23545 4

原创 petalinux查看xadc 温度

再转成摄氏温度就可以啦!

2017-07-22 12:13:29 1035

原创 ubuntu添加开机自启动脚本

添加开机自启动脚本sudo vi /etc/bash/bashrc

2017-07-22 12:08:03 337

原创 petalinux更改网络IP地址和MAC地址(一)

1简单的是通过命令的方式: ifconfig eth0 192.168.1.21 netmask255.255.255.0MACifconfig eth0 downifconfigeth0 hw ether 00:0C:18:ef:ff:edifconfig eth0 up缺点是重启就又变回去了。2 petalinux config

2017-06-15 15:22:11 6019 4

原创 xilinx sdk 2016.4 program flash error

xilinx sdk里 program flash : jtag error!在vivado里tclset XIL_CSE_ZYNQ_FLASH_SECTOR_SIZE 262144262144cmd /C program_flash -f D:\soft\WinPython-64bit-3.5.2.3\notebooks\empty16M.bin -offset 0 \

2017-05-15 19:13:02 5521 3

原创 vivado xsim仿真error:module 'xpm_memory_sdpram' not found

在vivado里利用 Xilinx Parameterized Macros(XPM) 原语例化的 直接仿真会出现 module找不到的错误, 在tcl里输入一下指令就好了,set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY} [current_project]

2017-04-28 14:43:48 5896

原创 how to see ubuntu server or desktop

zhuyu@zhuyu-All-Series:/etc$ sudo lsb_release -a[sudo] password for zhuyu: No LSB modules are available.Distributor ID:    UbuntuDescription:    Ubuntu 16.04.1 LTSRelease:    16.04Codename

2017-02-10 10:45:35 803

原创 ubuntu 16.04找不到eth0的原因

ifconfig -a enp3s0lo自从15起,已经不叫eth0了,所以sudo nano /etc/network/interfaces中该这样添加auto loiface lo inet loopbackauto enp3s0iface enp3s0 inet dhcp*==============

2017-02-07 19:53:32 16429 1

原创 numpy的ones_like函数

返回一个用1填充的跟输入 形状和类型 一致的数组。>>> x = np.arange(6)>>> x = x.reshape((2, 3))>>> xarray([[0, 1, 2], [3, 4, 5]])>>> np.ones_like(x)array([[1, 1, 1], [1, 1, 1]])>>>>>> y = np.arange(3, d

2017-01-31 20:56:27 49160 2

原创 qemu仿真系统

有这好东西,容易调试板卡启动不了的时候是镜像的问题还是电路等了,打印信息如下petalinux-boot --qemu --image zImage --dtb system.dtb 命令格式[root@localhost linux]# petalinux-boot --qemu --image zImage --dtb system.dtb INFO: The image pr

2017-01-12 10:56:50 2506

原创 解决计算机中丢失MSVCP140.dll的问题

在vs2013中使用opencv32的时候,生成可以,运行报出丢出MSVCP140.dll的异常,原因是缺少vs2015的运行库,根据自己的系统选择下载X86 or X64.安装好,一切OK

2017-01-11 17:39:45 33878

原创 baidu嵌入式软件工程师基础技术一面 试题

1#define MAX(a,b) ((a)>(b)?(a):(b))宏要完整有个括号2动态分配内存在堆里局部变量分配在栈里static变量在全局数据区分配内存3volatile类型变量 防止不被编译器优化,驻留在内存中,一般将硬件对应的寄存器 映射成 volatile4手写一个快排,我其实能写出来的,我自学的第一个算法就是快排,还有博客呢,呜呜,但是面对着

2016-12-23 18:04:33 416

原创 C语言二叉树结构数组法

#include "stdafx.h"struct tree{ int left; int data; int right;};typedef struct tree treenode;const int num = 32768;treenode tree[num];int _tmain(int argc, _TCHAR* argv[]){ int n = 9;

2016-12-21 19:36:34 1332

原创 c语言构造数组二叉树

#include "stdafx.h"#include#includeconst int nodecnt = 32768;int tree[nodecnt];//下标从1开始int _tmain(int argc, _TCHAR* argv[]){ int count = 9; int num[10] = { 6, 3, 8, 5, 2, 9, 4, 7, 10 };;

2016-12-20 14:37:19 1582 1

原创 幸福感

最近感觉过的有点不顺,好奇运气到底是怎么一回事,人总有顺的时候和不顺的时候。周六我穿着新买的羽绒服坐8号线去教会,背对着一对父子,地铁开到大概奥体附近的时候,感觉自己突然间被一锅粥浇到了,不禁大喊了一声:“啊”!随即整节车厢的味道就不对劲了。原来小男孩大吐特吐了,吐在了我的棉裤上,孩子爸赶紧把孩子抱下了车,什么也没有说。我也什么也没有说,默默的掏出面巾纸开始擦啊擦,

2016-12-13 12:44:12 398

原创 linux pci driver中的ioremap

xapp1022里:static int XPCIe_init(void){ gDev = pci_find_device (PCI_VENDOR_ID_XILINX, PCI_DEVICE_ID_XILINX_PCIE, gDev); if (NULL == gDev) { printk(/*KERN_WARNING*/"%s: Init: Hardware

2016-12-07 16:16:52 1286

原创 交换机的理解

MAC地址在第二层 DATA link,IP在第三层Network,TCP在第四层 Transport。交换机是干什么用的呢?工作在第二层。交换机里有一条很高带宽的背部总线,和内部交换矩阵。交换机上所有端口都挂在这条总线上,控制电路收到数据包后,处理端口会查找存储器中的地址对照表,来确定目的MAC的NIC挂在哪个端口上,通过内部交换矩阵将数据包转发给目的端口。目的MAC如果不在

2016-11-30 14:41:17 1151

原创 Vivado ILA调试的没有波形的小问题

WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3.Resolution: 1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and i

2016-11-29 17:25:16 21707 2

原创 xilinx sdk malloc失败的问题

在microzed板子上跑axi sg dma,第一次在sdk gdb上调试,没执行两句程序就自动退出了,原来是stack设置太小了,然后改成可以往下执行了,但是malloc失败了,malloc是在heap上分配内存,所以我把Heap Size也改成了1048676就OK了,scatter-gather DMA执行成功了。

2016-11-28 19:57:31 1845 1

原创 xilinx sdk Error while running ps7_init method.的问题

Unexpected error while launching program: Error while running ps7_init method.Cannot Read from target    MMU section translation faultError while running ps7_init method.Cannot Rea

2016-11-28 19:00:02 5903

原创 Vivado HLS复合数据类型

结构体和枚举类型如果他们出现在函数接口中,都可以通过directive综合指导:结构体可以有两种data pack mode:field level 按着变量扩展成8位的整数倍,eg :一个结构体5个4位的变量类型,将变量类型扩展占8位,变成5*8=40struct level 安整体和扩展成8位的整数倍, eg:5*4=20,20扩展成24ref : ug902

2016-11-26 20:58:06 591

原创 Vivado HLS ap_fixed数据类型

任意精度定点数定点数C++ Arbitrary Precision Fixed Point Types的缩写就是ap_fixed定义如下ap_[u]fixedint I,ap_q_mode Q,ap_o_mode O,ap_sat_bits N>;W:宽度,数据占用的位宽,I:整数部分的位数,那么(W-I)就是小数部分fraction占用的宽度了Q:量化

2016-11-26 19:17:30 12977 3

原创 Vivado HLS工作原理的理解

HLS是把C/C++描述的算法 高层次综合成rtl, 实现时序和并行性。1调度安排用多少时钟周期,每个操作花费几个时钟周期,比如,加,乘,加+乘;2控制逻辑提取自动实现状态机的设计.控制逻辑与有限状态机有着紧密的联系,但并不是一一对应。example:3资源映射执行某个操作用什么资源,比如在某个时钟下执行乘加操作,映射成dsp48,或者某个周期映射乘法器

2016-11-26 08:14:06 4271

原创 zynq CPU亲和性的利用:网络性能

怎样才是正确测量zynq pl端 axi ethernet 性能的正确方法呢?将一个进程绑定到某个处理器上能保证进程总被同一个处理器调度。绑定进程到某个CPU上的主要好处就是最佳缓存性能,因为它规避了进程被调度到不同处理器上的缓存无效性。CPU 亲和性可以使用linux的taskset程序更改。zynq> taskset 2 ./netserverzynq> taskset

2016-11-01 17:45:35 1013

原创 Python3导入cookielib失败

Python 3 改成 http.cookiejar了,所以只要改成import http.cookiejar就自动导入cookiejar了,如果还是不行,就把所有的.pyc删掉试试。

2016-09-23 18:30:57 28553 2

原创 python3 urllib.request 按行处理

conn.read()返回的是bytes,bytes转换成字符串用decode.

2016-09-23 15:33:15 1266

原创 windows环境下执行python脚本

cmd切换到python目录,方法1:windows 这个shell挺特,从C盘进入D盘,不能cd :d,感觉进去了又出来了一样,需要直接d:才可以方法2:更方便进入要执行的脚本所在目录,然后shift + 鼠标右键在此处打开命令窗口(W)然后就 直接敲想执行的python脚本吧~~~~

2016-09-21 15:23:47 4794

zynq_axi_dma_prj

AXI DMA两个方向的范例,还有xilinx sdk工程,完整的

2016-11-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除