自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

  • 博客(0)
  • 资源 (5)
  • 收藏
  • 关注

空空如也

单片机:简单时钟

一个用C语言写的简单时钟,具有计时功能和复位功能。 包含C语言文件和protuse仿真文件

2011-12-16

单片机流水灯含代码和protuse仿真文件

单片机学习新手的入门级实验。 流水灯程序和protuse仿真文件

2011-12-11

EDA十进制频率计数器

VHDL语言写的十进制频率计数器 ============================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt10 is port (rst,clk,ena:in std_logic; cout: out std_logic; outy :out std_logic_vector(3 downto 0)); end cnt10; architecture behv of cnt10 is begin process (rst,ena,clk) variable cqi :std_logic_vector(3 downto 0); begin if rst='1' then cqi :=(others =>'0'); elsif clk'event and clk='1' then if ena ='1' then if cqi < 9 then cqi:=cqi+1;cout<='0'; elsif cqi=9 then cqi :=(others =>'0'); cout<='1'; end if; elsif ena='0' then cqi:=(others =>'0'); end if; end if; outy <=cqi; end process; end behv;

2011-11-22

自动定时关机易语言v1.0 beta版

为喜欢挂机的朋友准备的,可以按倒计时、定时的方式,进行关机、重启、打开文件、闹铃等。。。

2010-04-12

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除