自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(0)
  • 资源 (14)
  • 收藏
  • 关注

空空如也

1U机箱CAD图纸

1U(1U尺寸就是4.445cm,2U尺寸则是1U的2倍为8.89cm) 机箱 AUTOCAD 图纸

2012-08-27

常用电容电阻设计参考

常用电阻值 国家标准规定了电阻的阻值按其精度分为两大系列,分别为E-24系列和E-96系列,E-24系列精度为5%,E-96系列为1%, 在这两种系列之外的电阻为非标电阻,较难采购。下面列出了常用的5%和1%精度电阻的标称值,供大家设计时参考。 碳质电阻和一些1/8瓦碳膜电阻的阻值和误差用色环表示。在电阻上有三道或者四道色 环。靠近电阻端的是第一道色环,其余顺次是二、三、四道色环,如图1所示。第一道色 环表示阻值的最大一位数字,第二道色环表示第二位数字,第三道色环表示阻值未应该 有几个零。第四道色环表示阻值的误差。色环颜色所代表的数字或者意义见表1。 贴片电阻,但封装尺寸与功率有关 通常来说..... 电容电阻外形尺寸与封装的对应关系是: 0402=1.0x0.5

2012-08-27

IC封装大全及尺寸

IC封装大全及尺寸图,方便使用者方便查阅和PCB封装库的核对和建立!

2012-06-20

通用燃气热水器点火电路

通用燃气热水器点火电路,里面有详细的电路原理分析,方便维修人员快速找到问题所在;

2012-06-20

通用燃气热水器点火电路.pdf

通用燃气热水器电子点火电路图已经详细的工作原理分析,看过后自己都可以根据故障来分析问题所在了!

2012-06-18

AVR_MP3_SD程序代码

AVR_MP3_SD程序代码,让单片机AVR可以播放MP3来!里面有详细制作资料!

2012-06-18

适用于MEGA16和MEGA32的硬件初始化程序,包含中断、I2C、SPI等

适用于MEGA16和MEGA32的硬件初始化程序,包含硬件中断、I2C、SPI等,可以作为编写程序时的参考

2012-06-18

RS-485总线通信系统的可靠性措施.pdf

在工业控制及测量领域较为常用的网络之一就是物理层采用RS-485通信接口所组成的工控设备网络。这种通信接口可以十分方便地将许多设备组成一个控制网络。从目前解决单片机之间中长距离通信的诸多方案分析来看,RS-485总线通信模式由于具有结构简单、价格低廉、通信距离和数据传输速率适当等特点而被广泛应用于仪器仪表、智能化传感器集散控制、楼宇控制、监控报警等领域。但RS485总线存在自适应、自保护功能脆弱等缺点,如不注意一些细节的处理,常出现通信失败甚至系统瘫痪等故障,因此提高RS-485总线的运行可靠性至关重要。

2012-06-18

uart接收_VHDL程序.rar

entity OPB_UARTLITE_RX is port ( Clk : in std_logic; Reset : in std_logic; EN_16x_Baud : in std_logic; Use_Parity : in std_logic; Odd_Parity : in std_logic; RX : in std_logic; Read_RX_FIFO : in std_logic; Reset_RX_FIFO : in std_logic; FIFO_Triger : in std_logic_vector(6 downto 0); Match_Trige : out std_logic; RX_Data : out std_logic_vector(7 downto 0); --(0 to C_DATA_BITS-1) RX_Data_Present : out std_logic; RX_BUFFER_FULL : out std_logic; RX_Frame_Error : out std_logic; RX_Overrun_Error : out std_logic; RX_Parity_Error : out std_logic );

2011-07-14

I2C总线原理及应用实例.doc

1 I2C总线特点 I2C总线最主要的优点是其简单性和有效性。由于接口直接在组件之上,因此I2C总线占用的空间非常小,减少了电路板的空间和芯片管脚的数量,降低了互联成本。总线的长度可高达25英尺,并且能够以10Kbps的最大传输速率支持40个组件。I2C总线的另一个优点是,它支持多主控(multimastering), 其中任何能够进行发送和接收的设备都可以成为主总线。一个主控能够控制信号的传输和时钟频率。当然,在任何时间点上只能有一个主控。

2011-07-14

5v系统和3.3v系统双向通信电路图

5v系统和3.3v系统双向通信电路图,简单,安全,实用!

2011-06-16

电子硬件工程师实用手册

硬件工程师手册, 电子工程师必修实用课!

2011-06-16

ican (can-bus) 源代码

基于iCAN协议的CAN-bus协议源代码,附有详细的解析!

2011-06-16

实用的4~20mA输入-0~5V输出的I/V转换电路

实用的4~20mA输入-0~5V输出的I/V转换电路

2011-06-16

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除