自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Acelpoetry的专栏

误导 == 可耻

  • 博客(7)
  • 资源 (1)
  • 收藏
  • 关注

原创 VSCode中Ctags配置及下载链接

VScode中配置Ctags

2022-01-25 10:32:17 15726 13

原创 bootcamp 没有创建 win7 win8 安装盘

使用bootcamp安装window系统的时候发现bootcamp 没有创建win7 或 win8版本的安装盘,折腾了好久后终于搞定,方法如下:     第一步:拷贝Boot Camp 到桌面                   这个步骤解决了下一步修改info.plist 文件没有权限的问题。     第二步:修改info.plist 文件。                   

2017-03-12 13:00:23 10677

原创 STM8 中关于PWM1 和 PWM2的区别

STM8中PWM1和PWM2模式是时钟输出PWM波形控制的一个必选参数,使用库函数原型如下:void TIMx_OC2Init(TIM2_OCMode_TypeDef TIM2_OCMode,                  TIM2_OutputState_TypeDef TIM2_OutputState,                  uint16_t TIM2_Pu

2016-12-14 12:12:41 6005

原创 IAR中创建stm8s 工程时assert_failed函数引发的错误

使用IAR编译STM8S的工程时,编译器报错:这个错误的原因是在:stm8s_conf.h中声明了assert_failed函数,并没有定义,包含这个头文件是必须完成这个函数的定义,stm8s_conf.h中函数原型如下:

2016-11-29 21:01:36 2321

原创 增强型N沟道mos管(如si2300)开关条件

增强型N沟道mos管(如si2300)开关条件增强型N沟道mos管的S(source源极) 和 D(drain漏极)导通条件取决与Vgs,即漏极和源极间的电压压差。只有当 Vgs > 2.5V ,也就是 Vg(G极电压) — Vs(S极电压) > 2.5V,D极和 S极之间导通。例如:       G极为3.3V, S极为0.1V, Vgs = 3.3 - 0.

2016-06-25 16:19:19 13840 1

原创 增强型P沟道mos管(如si2333ds)开关条件

增强型P沟道mos管(如si2333ds)开关条件pmos管作为开关使用时,是由Vgs的电压值来控制S(source源极)和 D(drain漏极)间的通断。Vgs的最小阀值电压为:0.4v,也就是说当 S(source源极)电压 — G(gate栅极)极  S(source源极)电压  > 0.4V 时, 源极 和 漏极导通。并且最大漏源电流和Vgs例如:

2016-06-25 14:42:33 21959 1

原创 Altium Designer 有用快捷设置

Altium designer 16 中栅格设置快捷键:Snap Grids(捕获栅格)                    放置或移动元件,网络标号,导线等的鼠标移动距离。G键调整,在1, 5,10(mil或者mm)之间转换。               如果过上面不满足的话可以按键:V+G+S ,在对话框中手动输入每次想要跳转的数值。Visible Grids

2016-06-19 22:13:04 1192

导入PCB Logo Creator V9

使用方法: 打开Altium designer安装路径,检查安装路径下是否有PCB logo Creator,“Altium Designer\Examples\Scripts\Delphiscript Scripts\Pcb\PCB Logo Creato”,如有则可,如没有,需要网上下载该文件夹,可到官网上下载。 PCB快速掌握:[4]为pcb添加logo 2 准备好一张图片,用来作为logo,图片不要太大,不然时间会很长。 3 使用系统自带的画图工具将其打开,并另存问“单色bmp”格式,如图所示 4 打开Altium Designer,点击左上角DXP---Run Script 5 点击Browse,按照第一步的路径找到pcb logo creator 6 选择RunConverterScript,点击OK 7 点击load,载入之前保存的logo图片,可以根据需要选择各参数,然后选择convert,使其开始转换,根据滚动条显示转换需要的时间。 8 转换完成后,该logo就被放到了pcb中了,可以看看效果了。

2018-01-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除