自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(0)
  • 资源 (17)
  • 收藏
  • 关注

空空如也

三相三线三电平svpwm的C实现,包含中点电压平衡控制,亲测有效,满足工程应用需求

三相三线三电平svpwm的C实现,包含中点电压平衡控制,亲测有效,满足工程应用需求。

2022-12-16

SVPWM的C程序实现,成功应用

SVPWM的C程序实现,成功应用,保真。

2022-12-15

储能变流器1.dft

运行良好,通过验证

2021-01-20

T_threelevle_svpwm.slx

SVPWM调制实现三电平储能变流器电压控制,电流控制,中点电压控制等

2021-01-20

buck_boost.slx

实现高低压稳压控制,功率控制

2021-01-20

双口 RAM在 DSP与单片机数据通信中的应用

在多处理器的应用系统中 ,处理器之间的有效通信是系统能否协同工作的关键。多处理器 之间的通信方法有很多种 ,以双口 RAM作为共享存储器来实现 2个处理器之间的通信具有实时 性好、 接口电路简单、 数据传输量大等优点。文中介绍了双口 RAM内部结构与功能 ,以及用它 来实现 DSP与单片机之间通信的接口电路与通信流程,此接口电路同样适合其他类型的多处理 器间的通信。 关键词:双口 RAM;数据通信; DSP;单片机

2010-12-02

基于CPLD 的双口RAM 设计与应用

介绍了在磁悬浮的主轴控制器中实现双CPU 之间数据通信的双口RAM 设计。采用复杂可编程逻辑 器件(CPLD) , 用基于原理图和VHDL 语言两者相结合的方法实现了多字节双口RAM 的设计, 并在设计过 程中采用数字逻辑方法解决了 2 个CPU 对双口RAM 同时进行写操作时产生冲突的问题, 在磁悬浮主轴控 制器中获得了成功应用。 关键词: 复杂可编程逻辑器件;VHDL ; 数字信号处理器; 双口RAM

2010-12-02

基于FPGA的MFSK调制VHDL程序及仿真

8.13 MFSK调制VHDL程序及仿真 --文件名:PL_MFSK --功能:基于VHDL硬件描述语言,完成对基带信号的MFSK调制 --说明:这里MFSK的M为4

2010-12-02

基于FPGA电梯控制器VHDL程序与仿真。

6层楼的电梯控制系统。 entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; --电梯外人的下降请求信号 d1,d2,d3,d4,d5,d6 : in std_logic; --电梯内人的请求信号 g1,g2,g3,g4,g5,g6 : in std_logic; --到达楼层信号 door : out std_logic_vector(1 downto 0); --电梯门控制信号 led : out std_logic_vector(6 downto 0); --电梯所在楼层显示 led_c_u:out std_logic_vector(5 downto 0); --电梯外人上升请求信号显示 led_c_d:out std_logic_vector(5 downto 0); --电梯外人下降请求信号显示 led_d : out std_logic_vector(5 downto 0); --电梯内请求信号显示 wahaha : out std_logic; --看门狗报警信号 ud,alarm : out std_logic; --电梯运动方向显示,超载警告信号 up,down : out std_logic ); --电机控制信号和电梯运动 end dianti;

2010-12-02

基于FPGA实现的电子琴程序设计与仿真

顶层程序与仿真,音阶发生器程序与仿真,数控分频模块程序与仿真,自动演奏模块程序与仿真设计 entity top is Port ( clk32MHz :in std_logic; --32MHz系统时钟 handTOauto : in std_logic; --键盘输入/自动演奏 code1 :out std_logic_vector(6 downto 0); --音符显示信号 index1 :in std_logic_vector(7 downto 0); --键盘输入信号 high1 :out std_logic; --高低音节信号 spkout :out std_logic); --音频信号 end top;

2010-12-02

基于FPGA的电子时钟的VHDL实现

1. 10进制计数器设计与仿真 2. 6进制计数器设计与仿真 3. 译码器设计 4. 顶层设计与仿真

2010-11-24

基于FPGA的自动售货机的VHDL实现

自动售货机VHDL程序如下: --功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 --说明:显示的钱数coin的 以5角为单位。

2010-11-24

DSP F281*原理及C程序开发电子教材

本书介绍了TMS320F2812芯片的基本结构,硬件功能,内部功能模块的基本原理等内容,并在结合应用实例的基础上讲了各模块的应用。同时专门针对电机控制领域的应用,详细介绍了数字信号处理器的永磁同步电机控制系统的原理与实现

2010-11-24

DSP F281*原理及C程序开发电子教材

DSP初学者不可缺少的掌中宝典,通俗易懂

2010-11-24

DSPF2812的PWM驱动程序

PWM应用广泛,是DSP学习者不可或缺的资源

2010-11-24

FPGA的rs232串口调试程序,调试通过

FPGA的rs232串口调试程序,调试通过

2010-10-29

DSP中断系统的理解

DSP中断系统的初级了解,对DSP的入门者非常有用,通俗易懂,加深理解

2010-10-22

FPGA与CPLD的区别与联系

详细讲述FPGA与CPLD的区别与联系,对FPGA与CPLD的初级使用者来说非常好

2010-10-22

matlab实用程序典例

学习应用matlab的入门程序,简单实用!

2010-05-18

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除