自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(0)
  • 资源 (15)
  • 收藏
  • 关注

空空如也

CDC LAB,and guide

CDC LAB,and guide CDC LAB,and guide CDC LAB,and guide

2018-07-17

TMAX资料集合

学习TMAX资料学习TMAX资料学习TMAX资料学习TMAX资料学习TMAX资料学习TMAX资料学习TMAX资料学习TMAX资料

2018-04-04

PT_2016_LAB_DATA

PT_2016_LAB_DATA

2017-01-11

DC综合资料合集

包含了各种DC学习资源,有中文的高手总结也有DC进阶高手必备资源,基本上涵盖了DC从初级到高级所有必备,其中文件如下: 10______简化版-综合与静态时序分析 Advanced_ASIC_Chip_Synthesis_Using_Synopsys_Design_Compiler,physical_compiler_and_Primetime AISC综合与DC使用 constrain DC概论全总结_ASIC必备 Design Compiler Reference manual Timing and Constraints Timing (2004 Kluwer) 利用DC进行逻辑综合

2015-03-18

高级加密标准AES-FIPS197中文版.pdf

高级加密标准AES-FIPS197中文版.pdf

2014-10-24

Verilog Digital System Design.pdf

Verilog Digital System Design.pdf

2014-10-22

TCL学习资料综合

Practical Programming In Tcl And Tk 4th.Edition.eBook-LiB Reference_tcl synopsy的Tcl脚本语言学习笔记 Tcl and The Tk Toolkit[Tcl之父] TCL教程 Tcl库函数 TCL培训教程(华为内部资料) using tcl with synopsys tools 彩体Tcl教程 华为Tcl培训教程[包括Tcl库函数]

2014-10-16

A Practical Guide for Designing, Synthesizing, and Simulating ASICs

A Practical Guide for Designing, Synthesizing, and Simulating ASICs and FPGAs using VHDL or Verilog.pdf

2014-09-29

Writing Testbenches,Functional Verification of HDL Models.pdf

Writing Testbenches,Functional Verification of HDL Models.pdf

2014-09-29

Kluwer Reuse Methodology Manual for System on a Chip Designs 3rd Ed pdf

Kluwer

2014-09-29

Priciples_of_Verifiable_RTL_Design,_2nd_Ed.pdf

Priciples_of_Verifiable_RTL_Design,_2nd_Ed.pdf

2014-09-29

经典国外IC设计经典书

Advanced.ASIC.Chip.Synthesis.Using.Synopsys.Design.Compiler.Physical.Compiler.and.Primetime.2nd.Ed.pdf

2014-09-29

用于GSM加密的A5算法

用于GSM加密的A5算法

2014-09-29

基于AMBA总线音频接口的设计与实现

随着集成电路设计技术及制造技术的发展 片上系统 SoC 已成为超大规模集成电 路领域主流技术之一"SoC 一般集成多个 IP 核 其中音频 IP 核是较为常用的一种"数字 音频技术发展较快 并随之产生了很多音频规范"在众多的数字音频接口规范中 I2S 和 S PDIF 音频协议标准具有传输简便 设计灵活等优点 在音频系统中广泛使用" 本文基于 AMBA APB 总线协议 设计实现了数字音频输出接口 IP 核 完成音频数据 从 APB 总线到 I2S 和 S PDIF 等音频协议信号的转化"与其他普通的音频接口相比 本文 的设计有如下特点: 1 基于 AMBA APB 总线 由于 AMBA 总线规范被广泛应用 基于该规范设计的 IP 核具有较强的通用性和可复用性" 2 本设计支持 I2S 左对齐 右对齐格式的 I2S 协议以及 S PDIF 协议等多种音频协 议" 3 设计可配置:时钟和帧同步及其极性可配置;数据格式可配置;帧内时间槽长可 配置可为七种类型之一;槽内的有效数据的字长可配置;数据开始时刻相对帧同 步的延迟可以设置为 0 1 或 2 位延迟;发送数据的顺序可以配置成从最低位开始 或从最高位开始等" 4 本设计功能丰富:可以对模块内外所有的错误进行静音处理 对时钟进行检测以 确保时钟的稳定 以及支持 DMA 传输和 CPU 中断传输">随着集成电路设计技术及制造技术的发展 片上系统 SoC 已成为超大规模集成电 路领域主流技术之一"SoC 一般集成多个 IP 核 其中音频 IP 核是较为常用的一种"数字 音频技术发展较快 并随之产生了很多音频规范"在众多 [更多]

2014-08-18

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除